作者victoret (戲言~)
看板NTUEE113HW
標題[情報] 關於 verilog 的作業...
時間Tue Dec 7 20:52:13 2010
嗯
在下在星期六下午的時候
突然遇到了工作站大暴走 ( ? )
總之就是遇到了一堆問題
於是就寄了封信給助教
不巧週末 NTUMAIL 又壞了
星期一助教的 office hour 也找不到人
====================================== 以上廢話 = =
今天收到了信...大致結果如下
先附一下我自己信件的本文
------------------------------------------------------------------------------
1.
當我登入部份的工作站時會出現這種狀況
Could not chdir to home directory /home/raid2_2/userb98/b98104: No such file or directory
/usr/X11R6/bin/xauth: error in locking authority
file /home/raid2_2/userb98/b98104/.Xauthority
如過輸入 ls 則會出現
bin etc lib media opt sbin sys usr
boot home lib64 misc proc selinux tftpboot var
dev initrd lost+found mnt root srv tmp
但是其中並沒有我自己的檔案
2.
有幾個工作站 ( ex : cad37、cad28 等等 ) 是可以進入的
甚至連叫出 gedit、debussy、nWave 都完全沒問題
但是
當我要觀看波形的時候,
我在 gedit 中 test bench 的 initial begin 下一行輸入
$fsdbDumpfile("tb_seven_display.fsdb");
$fsdbDumpvars;
之後存檔
然後在 putty 介面輸入 ncverilog +access+r tb_seven_display.v seven_display.v
之後
他的模擬過程在 Caching library 'worklib' ....... Done 這一行下面會出現以下的訊息
Elaborating the design hierarchy:
$fsdbDumpfile("tb_seven_display.fsdb");
|
ncelab: *W,MISSYST (./tb_seven_display.v,47|13): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
If item was defined in a shared-object library, the problem could be:
libvpi.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library.
libpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library..
$fsdbDumpvars;
|
ncelab: *W,MISSYST (./tb_seven_display.v,48|13): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
而在 Loading snapshot worklib.test_1:v .................... Done 這一行之後,會出現
以下的訊息
$fsdbDumpfile("tb_seven_display.fsdb");
|
ncsim: *E,MSSYSTF (./tb_seven_display.v,47|13): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpvars;
|
ncsim: *E,MSSYSTF (./tb_seven_display.v,48|13): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
而最後的結果就是沒有產生 .fsdb 檔
因此就算 nWave 打開了也沒有 .fsdb 檔可以模擬
想請問這個問題究竟是出在我的程式本身還是工作站的 lib?
因為我中午 ( 約 2 : 30 左右 ) 在寫 adder 的時候,照這個程序完全沒問題,也完全沒有出現這個問題
但是下午 ( 5 : 00 左右 ) 使用的時候卻發現不論是 adder 還是 seven_display 均無法產生 .fsdb 檔
3.
根據昨天晚上有去 130 聽助教解說的人提到
繳交期限似乎有延後,但是在課程網上面並沒有顯示出來,請問這方面的狀況究竟是如何呢?
以下是助教的回信
-----------------------------------------------------------------------------
其實你遇到的問題大多都是工作站的問題,要像你說聲抱歉 orz。
1. 目前已知 cad33 有這種情況,請換別台試試看
2. 關於這個問題,請改用 Solaris 為作業系統的機器
(cad17~cad26, cad31, cad32),
應該可以解決無法處理 fsdb 的問題
3. 我有向大助教反應強烈要求作業延期一周,還有要加開助教時段
大助教的回覆是還要跟老師討論,還有要跟其他助教橋一下時間,這兩天應該會公佈
據大助教表示,作業應該是可以延期,但是他不能保證加開的時段能讓所有同學滿意
4. 加油啦~
BR
詹曜嘉
-----------------------------------------------------------------------------
其實第一個問題在 cad 40 還有好幾個都有...
在下會再回信問問助教
就這樣~
希望能對有同樣問題的人有點幫助^^
※ 編輯: victoret 來自: 140.112.115.224 (12/07 20:53)
1F:推 wei26853 :星期五那個助教說延一個禮拜了 12/07 21:41
2F:推 alextan :延期到底是真的還是假的啊 QQ 12/07 22:08
3F:推 b98901056 :cad40 cad38 會有同樣問題 12/07 22:51