作者victoret (戏言~)
看板NTUEE113HW
标题[情报] 关於 verilog 的作业...
时间Tue Dec 7 20:52:13 2010
嗯
在下在星期六下午的时候
突然遇到了工作站大暴走 ( ? )
总之就是遇到了一堆问题
於是就寄了封信给助教
不巧周末 NTUMAIL 又坏了
星期一助教的 office hour 也找不到人
====================================== 以上废话 = =
今天收到了信...大致结果如下
先附一下我自己信件的本文
------------------------------------------------------------------------------
1.
当我登入部份的工作站时会出现这种状况
Could not chdir to home directory /home/raid2_2/userb98/b98104: No such file or directory
/usr/X11R6/bin/xauth: error in locking authority
file /home/raid2_2/userb98/b98104/.Xauthority
如过输入 ls 则会出现
bin etc lib media opt sbin sys usr
boot home lib64 misc proc selinux tftpboot var
dev initrd lost+found mnt root srv tmp
但是其中并没有我自己的档案
2.
有几个工作站 ( ex : cad37、cad28 等等 ) 是可以进入的
甚至连叫出 gedit、debussy、nWave 都完全没问题
但是
当我要观看波形的时候,
我在 gedit 中 test bench 的 initial begin 下一行输入
$fsdbDumpfile("tb_seven_display.fsdb");
$fsdbDumpvars;
之後存档
然後在 putty 介面输入 ncverilog +access+r tb_seven_display.v seven_display.v
之後
他的模拟过程在 Caching library 'worklib' ....... Done 这一行下面会出现以下的讯息
Elaborating the design hierarchy:
$fsdbDumpfile("tb_seven_display.fsdb");
|
ncelab: *W,MISSYST (./tb_seven_display.v,47|13): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
If item was defined in a shared-object library, the problem could be:
libvpi.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library.
libpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library..
$fsdbDumpvars;
|
ncelab: *W,MISSYST (./tb_seven_display.v,48|13): Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
而在 Loading snapshot worklib.test_1:v .................... Done 这一行之後,会出现
以下的讯息
$fsdbDumpfile("tb_seven_display.fsdb");
|
ncsim: *E,MSSYSTF (./tb_seven_display.v,47|13): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpvars;
|
ncsim: *E,MSSYSTF (./tb_seven_display.v,48|13): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
而最後的结果就是没有产生 .fsdb 档
因此就算 nWave 打开了也没有 .fsdb 档可以模拟
想请问这个问题究竟是出在我的程式本身还是工作站的 lib?
因为我中午 ( 约 2 : 30 左右 ) 在写 adder 的时候,照这个程序完全没问题,也完全没有出现这个问题
但是下午 ( 5 : 00 左右 ) 使用的时候却发现不论是 adder 还是 seven_display 均无法产生 .fsdb 档
3.
根据昨天晚上有去 130 听助教解说的人提到
缴交期限似乎有延後,但是在课程网上面并没有显示出来,请问这方面的状况究竟是如何呢?
以下是助教的回信
-----------------------------------------------------------------------------
其实你遇到的问题大多都是工作站的问题,要像你说声抱歉 orz。
1. 目前已知 cad33 有这种情况,请换别台试试看
2. 关於这个问题,请改用 Solaris 为作业系统的机器
(cad17~cad26, cad31, cad32),
应该可以解决无法处理 fsdb 的问题
3. 我有向大助教反应强烈要求作业延期一周,还有要加开助教时段
大助教的回覆是还要跟老师讨论,还有要跟其他助教桥一下时间,这两天应该会公布
据大助教表示,作业应该是可以延期,但是他不能保证加开的时段能让所有同学满意
4. 加油啦~
BR
詹曜嘉
-----------------------------------------------------------------------------
其实第一个问题在 cad 40 还有好几个都有...
在下会再回信问问助教
就这样~
希望能对有同样问题的人有点帮助^^
※ 编辑: victoret 来自: 140.112.115.224 (12/07 20:53)
1F:推 wei26853 :星期五那个助教说延一个礼拜了 12/07 21:41
2F:推 alextan :延期到底是真的还是假的啊 QQ 12/07 22:08
3F:推 b98901056 :cad40 cad38 会有同样问题 12/07 22:51