PLT 板


LINE

看板 PLT  RSS
以下是0~9的亂數產生器的vhdl程式... 不管小弟我怎麼試他只能從0一直數到9而不是亂數產生的值 想問一下到底是那段程式在產生亂數的嗎 還是我用Q2模擬的時候那邊的值設錯了呢? 我CLK用clock下去跑 sel也是用clock但出來的值感覺比較像在計數..... 拜託版上的神人救救小弟我.... ====================以下是程式=========== library ieee; use ieee.std_logic_1164.all; entity random_mode9 is port( clk :in std_logic; rst :in std_logic; sel :in std_logic; q:out std_logic_vector(3 downto 0) ); end random_mode9; architecture a of random_mode9 is type state_type is (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9); signal state: state_type; signal qt: std_logic_vector(3 downto 0); signal d, d0, d1: std_logic; signal run : std_logic := '0'; begin process(clk) begin if rst = '0' then state <= S0; elsif clk'event and clk = '1' then d1<=d0; d0<=sel; case state is when S0 => if (run = '0') then state <= S1; end if; when S1 => if (run = '0') then state <= S2; end if; when S2 => if (run = '0') then state <= S3; end if; when S3 => if (run = '0') then state <= S4; end if; when S4 => if (run = '0') then state <= S5; end if; when S5 => if (run = '0') then state <= S6; end if; when S6 => if (run = '0') then state <= S7; end if; when S7 => if (run = '0') then state <= S8; end if; when S8 => if (run = '0') then state <= S9; end if; when S9 => if (run = '0') then state <= S0; end if; when others => null; end case; end if; end process; d <= d1 and not d0; with state select qt <= "0000" when S0, "0001" when S1, "0010" when S2, "0011" when S3, "0100" when S4, "0101" when S5, "0110" when S6, "0111" when S7, "1000" when S8, "1001" when S9; process(d, clk) begin if clk'event and clk='1' then q<=qt; if (d='1') then run <= not run; end if; end if; end process; end a; --



※ 發信站: 批踢踢實業坊(ptt.cc)
◆ From: 140.124.137.45







like.gif 您可能會有興趣的文章
icon.png[問題/行為] 貓晚上進房間會不會有憋尿問題
icon.pngRe: [閒聊] 選了錯誤的女孩成為魔法少女 XDDDDDDDDDD
icon.png[正妹] 瑞典 一張
icon.png[心得] EMS高領長版毛衣.墨小樓MC1002
icon.png[分享] 丹龍隔熱紙GE55+33+22
icon.png[問題] 清洗洗衣機
icon.png[尋物] 窗台下的空間
icon.png[閒聊] 双極の女神1 木魔爵
icon.png[售車] 新竹 1997 march 1297cc 白色 四門
icon.png[討論] 能從照片感受到攝影者心情嗎
icon.png[狂賀] 賀賀賀賀 賀!島村卯月!總選舉NO.1
icon.png[難過] 羨慕白皮膚的女生
icon.png閱讀文章
icon.png[黑特]
icon.png[問題] SBK S1安裝於安全帽位置
icon.png[分享] 舊woo100絕版開箱!!
icon.pngRe: [無言] 關於小包衛生紙
icon.png[開箱] E5-2683V3 RX480Strix 快睿C1 簡單測試
icon.png[心得] 蒼の海賊龍 地獄 執行者16PT
icon.png[售車] 1999年Virage iO 1.8EXi
icon.png[心得] 挑戰33 LV10 獅子座pt solo
icon.png[閒聊] 手把手教你不被桶之新手主購教學
icon.png[分享] Civic Type R 量產版官方照無預警流出
icon.png[售車] Golf 4 2.0 銀色 自排
icon.png[出售] Graco提籃汽座(有底座)2000元誠可議
icon.png[問題] 請問補牙材質掉了還能再補嗎?(台中半年內
icon.png[問題] 44th 單曲 生寫竟然都給重複的啊啊!
icon.png[心得] 華南紅卡/icash 核卡
icon.png[問題] 拔牙矯正這樣正常嗎
icon.png[贈送] 老莫高業 初業 102年版
icon.png[情報] 三大行動支付 本季掀戰火
icon.png[寶寶] 博客來Amos水蠟筆5/1特價五折
icon.pngRe: [心得] 新鮮人一些面試分享
icon.png[心得] 蒼の海賊龍 地獄 麒麟25PT
icon.pngRe: [閒聊] (君の名は。雷慎入) 君名二創漫畫翻譯
icon.pngRe: [閒聊] OGN中場影片:失蹤人口局 (英文字幕)
icon.png[問題] 台灣大哥大4G訊號差
icon.png[出售] [全國]全新千尋侘草LED燈, 水草

請輸入看板名稱,例如:e-shopping站內搜尋

TOP